[an error occurred while processing this directive] [an error occurred while processing this directive]
to VIT и OverMen про то что я думаю про АЦП
(«Телесистемы»: Конференция «Микроконтроллеры и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено net 21 марта 2002 г. 11:52

видимо вот что происходит - это хотелось бы проверить- огромная просьба господину VIT раз у вас есть платка

1 мне кажется происходит следующее (причем сдвиг нуля предлагаемый это временное решение которое только несколько ослабляет эффект)
входной сигнал не может быть меньше нуля потому что тогда если у вас есть помеха на сигнале(например50гц) то в дельта сигма как бы происходит интегрирование но сигнал изза ограничения несимметричный и кроме того вы всегда имеете рассхождение при задаче своего 50 гц квантования - далее фаза вашего 50гц квантования и сигнал сети никак не связаны - поэтому будет фазовая невязка интегрирования и как следствие в сигнале будет ваш выброс
поэтому когда вы сдвигаете свой ноль на некую величину - и если ваша помеха меньше этой величины то вы сразу получите чистый сигнал оцифровки - причем если я прав то этот эффект должен наблюдаться и при подаче реперного(чтобы симметрия помехи нарушалась)

так же это должно давать такойже эффект если вы подали постоянку и наложили на нее синус(50гц) так чтобы начался обрезаться синус с одной стороны тогда такой же эффект должен проявиться

если бы вы могли проверить это у себя - было бы неплохо
потому как следствие всего этого получается - что при разных постоянных наппряжениях будет разная допустимая периодическая помеха
далее в случае анализа шума можно говорить о величине помехи в сигнале

бороться с такой вещью можно только на уровне что перестанут прыгать биты - так как несимметричная помеха возникаемая из симметричной при ограничении будет давать различный вклад в зависимости от степени ограничения и частоты генератора
что вы об этом думаете???

Составить ответ  |||  Конференция  |||  Архив

Ответы



Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru