[an error occurred while processing this directive]
Возми МСU c 2-мя UARTами (типа ATMega162 или ATMega128) + третий UART - программный (на двух любых свободных ногах портов). Делов-то !
(«Телесистемы»: Конференция «Микроконтроллеры и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено ETM 04 июля 2006 г. 16:17
В ответ на: Ответ: Вот и выбираю, что дешевле поставить ПЛИС или контроллер отправлено <font color=gray>bred</font> 04 июля 2006 г. 15:49


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru