[an error occurred while processing this directive]
Mux 8->1? ADG708, например...
(«Телесистемы»: Конференция «Микроконтроллеры и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено _Dark 19 марта 2006 г. 12:32
В ответ на: На чем сделать более компактно переключение 8 линий данных от двух источников к одному приемнику?! линии проводные. отправлено <font color=gray>eXeC001er</font> 19 марта 2006 г. 12:16


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru