[an error occurred while processing this directive]
Щас самый понтовый QuestaSim 6.1d, это типа усовершенствованый Моделсим. Если вы не любите моделсим, то можно изъебнутся и к ИСЕ 8.1 подключить Active-HDL 7.1 для моделирования вместо штатного моделсима, на сайте альдека на эту тему даже апнота валяется.
(«Телесистемы»: Конференция «Микроконтроллеры и их применение»)

миниатюрный аудио-видеорекордер mAVR

Отправлено druzhin 06 марта 2006 г. 18:27
В ответ на: Кто каким сейчас пользуется симулятором для ISE? А то отстал от жизни. отправлено <font color=gray>kool</font> 06 марта 2006 г. 14:16


Составить ответ  |||  Конференция  |||  Архив

Ответы


Отправка ответа

Имя (обязательно): 
Пароль: 
E-mail: 
NoIX ключ Запомнить

Тема (обязательно):
Сообщение:

Ссылка на URL: 
Название ссылки: 

URL изображения: 


Rambler's Top100 Рейтинг@Mail.ru
Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание

E-mail: info@telesys.ru