[an error occurred while processing this directive] [an error occurred while processing this directive]
Каким образом это можно сделать?
(«Телесистемы»: Конференция «Микроконтроллеры и их применение»)
[an error occurred while processing this directive] [an error occurred while processing this directive] [an error occurred while processing this directive]

Отправлено mephody 27 августа 2001 г. 13:31
В ответ на: Ответ: Такое было - установил скорость порта принудительно , заработало. отправлено ALEKS 27 августа 2001 г. 13:13

В программе я сам устанавливаю нужную мне скорость (SetCommState).
Или это можно сделать как-то ещё?

Составить ответ  |||  Конференция  |||  Архив

Ответы



Перейти к списку ответов  |||  Конференция  |||  Архив  |||  Главная страница  |||  Содержание  |||  Без кадра

E-mail: info@telesys.ru